전체 글 115

245mhz, real time 32bit data read prj

32비트 ddr2, kintex410t, axi_7series_ddrx의 클럭 조합 및 닥질한 이야그, 으이그 내가 썩어... 1) modelsim library location ==> ise 의 modelsim property에 보면 폴더 위치를 지정하게 됨 2) modelsim/memory model compile ==> ise에서 vcom 메뉴에 +def+sg125를 추가하삼 3) led port 추가 ==> simulation 하면 어디까지 진행한지 모르니까 적당한 곳에서 led 출력 값을 바꿔가면서 진행상황 체크 4) 메모리 초기화 ==> mb의 cache line 만 메모리와 연결되어 있으면 소스코드에서 cache를 enable해야 메모리를 접근할 수 있는데 캐시를 사용하지 않으면 dp를 사용..

edk 2012.08.16