edk

PCI를 에뮬레이션한, XCF flash memory update

xilinx 2012. 4. 14. 09:21

'edk' 카테고리의 다른 글

245mhz, real time 32bit data read prj  (0) 2012.08.16
ml605, v134, timer interrupt  (0) 2012.05.07
MPD 파일  (0) 2012.03.17
gvim 명령어....  (0) 2012.03.15
compile without stdin, out  (0) 2012.03.07