zynq

led.xdc

xilinx 2015. 4. 22. 16:16

set_property PACKAGE_PIN E15 [get_ports {leds_4bits_tri_o[0]}]

set_property IOSTANDARD LVCMOS25 [get_ports {leds_4bits_tri_o[0]}]


set_property PACKAGE_PIN D15 [get_ports {leds_4bits_tri_o[1]}]

set_property IOSTANDARD LVCMOS25 [get_ports {leds_4bits_tri_o[1]}]


set_property PACKAGE_PIN W17 [get_ports {leds_4bits_tri_o[2]}]

set_property IOSTANDARD LVCMOS25 [get_ports {leds_4bits_tri_o[2]}]


set_property PACKAGE_PIN W5 [get_ports {leds_4bits_tri_o[3]}]

set_property IOSTANDARD LVCMOS25 [get_ports {leds_4bits_tri_o[3]}]