set_property PACKAGE_PIN V7 [get_ports {leds_4bits_tri_o[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {leds_4bits_tri_o[0]}] set_property PACKAGE_PIN W10 [get_ports {leds_4bits_tri_o[1]}] set_property IOSTANDARD LVCMOS18 [get_ports {leds_4bits_tri_o[1]}] set_property PACKAGE_PIN P18 [get_ports {leds_4bits_tri_o[2]}] set_property IOSTANDARD LVCMOS18 [get_ports {leds_4bits_tri_o[2]}] set_property PACKAGE_PIN P17 [get_ports {leds_4bits_tri_o[3]}] set_property IOSTANDARD LVCMOS18 [get_ports {leds_4bits_tri_o[3]}]